x86-Prozessor mit Hyper-Threading soll Handys erobern

Intel Silverthorne: 2-GHz-Mobile-CPU mit neuer Architektur

06.02.2008
Intel präsentiert auf der International Solid State Circuits Conference ISSCC in San Francisco neue Details zum Ultra-Mobile-Prozessor „Silverthorne“. Die x86-CPU arbeitet mit einer In-Order-Pipeline und beherrscht Hyper-Threading.

Bis Mitte 2008 soll Intels neue Ultra-Mobile-Plattform „Menlow“ mit dem 45-nm-Prozessor „Silverthorne“ debütieren. Die CPU ist laut Intel von Grund auf für den Einsatz in UMPCs und mobilen Devices wie Smartphones entwickelt.

Laut Intel seien Webinhalte auf Basis von x86-Technologie geschrieben. Aktuelle Handyprozessoren kommen jedoch mit dieser Technologie nicht zurecht, was sich beispielsweise dadurch zeigt, dass Flash-Inhalte auf diesen Geräten nicht wiedergegeben werden können. Mit Silverthorne soll sich dass Intels Wünschen zufolge in Zukunft ändern.

Menlow: Intels 2008er UMPC-Plattform setzt sich aus dem Silverthorne-Prozessor sowie dem Poulsbo-Chipsatz zusammen. Die Chips benötigten deutlich weniger Platz als ihre Vorgänger.

Der mit Intels 45-nm-High-K-Prozess produzierte Silverthorne benötigt eine Die-Fläche von nur 25 mm². Darauf breiten sich 45 Millionen Transistoren aus, die bei einer Taktfrequenz von 2 GHz der CPU einen TDP-Wert von nur 2 Watt bescheren sollen. Die Core-Spannung liegt bei dieser Taktfrequenz bei 1,0 Volt. Die Performance von Silverthorne ist laut Intel mit aktuellen Ultra-Mobile-PCs vergleichbar. Im typischen Betrieb – gemessen mit MobileMark 2005 - begnügt sich die CPU mit einigen hundert mW, wie der Hersteller weiter angibt.

Silverthorne: Die im 45-nm-High-K-Prozess gefertigte CPU begnügt sich mit einer Siliziumfläche von 25 mm². (Quelle: Intel)

Intel stattet Silverthorne anstatt mit der bei x86-Prozessoren üblichen Out-of-Order-Pipeline mit einer zwei Instruktionen pro Taktzyklus unterstützenden In-Order-Pipeline aus. Durch die In-Order-Pipeline wird komplexe Logik zur optimalen und Performance-steigernden Reorganisation der eingehenden Befehle gespart. Weniger Logik bedeutet weniger Transistoren und somit ein sparsameres CPU-Design. Bei der In-Order-Pipeline verarbeitet Silverthorne die Befehle in der eingehenden Reihenfolge.

Hyper-Threading in Handys

Dank Hyper-Threading kann die Mobile-CPU zwei Threads gleichzeitig bearbeiten. Laut Intel steigert Hyper-Threading bei der In-Order-Architektur die Performance um 30 Prozent bei einem zusätzlichen Energiebedarf von 15 Prozent. Für flinke Multimedia-Performance soll auch Intels Digital Media Boost mit SSE3-Unterstützung sorgen. Silverthorne ist laut Intel kompatibel mit der Core 2 Duo ISA und beherrscht somit auch den 64-Bit-Support sowie die Virtualisierungstechnologie VT. Neben den L1-Caches von 32 KByte für Befehle und 24 KByte für Daten kann der x86-Prozessor auf einen 512 KByte großen L2-Cache zurück greifen. Mit dem für Silverthorne vorgesehenen Chipsatz „Poulsbo“ kommuniziert die CPU über einen FSB533.

Der Reihe nach: Intels Silverthorne arbeitet mit einer In-Order-Pipeline und unterstützt Hyper-Threading. (Quelle: Intel)

Um den niedrigen Energiebedarf von Silverthorne zu realisieren, gibt es von Intel zusätzlich die neue „Deep Power Down Technology“. Bei diesem Energiesparmodus C6 schaltet sich bis auf ein spezielles 10,5 KByte fassendes C6-Array für den CPU-Status Silverthorne fast komplett aus. Das „Einschlafen“ und „Aufwachen“ des Prozessors soll dabei weniger als 100 µs benötigen.

Weitere Details zu Silverthorne werden auf dem Anfang April 2008 in Shanghai stattfindenden Intel Developer Forum erwartet. (cvi)