IBM POWER6 mit 4,7 GHz: Die schnellste Server-CPU der Welt

23.05.2007 von Christian Vilsbeck
IBM stellt mit dem POWER6 seine nächste Prozessorgeneration vor. Die Dual-Core-Prozessoren arbeiten mit 4,7 GHz Taktfrequenz. Gegenüber dem Vorgänger POWER5 bietet die sechste Generation die doppelte Performance bei gleichem Energiebedarf.

Der neue POWER6 ist laut IBM „der schnellste jemals gebaute Mikroprozessor“. Die sechste POWER-Generation löst den erstmalig im Jahr 2003 mit einer Taktfrequenz von 2 GHz vorgestellten Dual-Core-Prozessor POWER5 ab. Im Juni 2005 folgte noch der POWER5+ mit von 130 auf 90 nm reduzierter Strukturbreite. Diese 64-Bit-RISC-CPUs arbeiten mit Taktfrequenzen bis 2,3 GHz.

Mit dem neuen POWER6 erhöht IBM die Taktfrequenz radikal. Die ebenfalls mit einer Dual-Core-Technologie ausgestatteten RISC-CPUs liefert IBM in Versionen mit 3,5, 4,2 und im Topmodell mit 4,7 GHz Taktfrequenz aus. Damit geht IBM nicht den Weg vieler Konkurrenten, Taktfrequenz zugunsten der Verlustleistung zu opfern. Gegenüber seinem Vorgänger bietet der POWER6 laut IBM nicht nur die doppelte Performance, der Energiebedarf soll auf unverändertem Niveau von maximal 100 Watt verweilen.

POWER6 mit Dual-Core: Links und rechts in der Bildmitte sehen Sie die zwei Kerne des Prozessors. In den vier Ecken des Die platziert IBM den insgesamt 8 MByte großen L2-Cache. (Quelle: IBM)

Einer zu hohen Wärmeentwicklung wirkt der POWER6 laut IBM durch seinen 65-nm-Prozess sowie Core-Spannungen von nur 0,8 V entgegen. IBM verwendet bei der Fertigung SOI mit 10 Layern sowie die Strained-Silicon-Technologie. Außerdem kommen neue Techniken wie variable Gate-Längen und variable Schwellenspannungen bei den Transistoren zum Einsatz. Damit reizt IBM die maximale Performance pro Watt auf Transistorebene aus.

Allein durch den Schritt von 90 auf 65 nm Fertigungstechnologie erreicht IBM bei unveränderter Leistungsaufnahme eine 30 Prozent höhere Performance. Besonders durch die Dual Stress Liners wird die höhere Performance laut IBM-Fellow Bradley McCredie erreicht.

Beschleuniger-Einheiten

Die Pipeline-Tiefe des POWER6 bleibt trotz der verdoppelten Taktfrequenz unverändert zum POWER5. Für mehr Performance sorgen neben dem hohen Arbeitstakt effektivere Befehlsabarbeitungen pro Stufe, neue Funktionen sowie eine weitere Parallelisierung.

Beispielsweise verarbeitet der POWER6 nun Fließkomma-Dezimalzahlen in der Hardware statt wie vormals per Software. IBM erweiterte hierzu die POWER-Architektur um 50 neue Instruktionen. In Java-Applikationen erreicht IBM mit dem Decimal Floating Point Accelerator eine bis zu siebenfach höhere Performance.

Zusätzlich spendiert IBM dem POWER6 die VMX-Engine Altivec, die aus den PowerPC-Prozessoren bekannt ist. Die Vektor/SIMD Multimedia Extension (VMX) ist, wie der Name bereits sagt, eine Erweiterung, um Multimedia-Applikationen zu beschleunigen, und entspricht funktional Intels SSE-Erweiterung. AltiVec – als eingetragenes Warenzeichen von Freescale – bezeichnet IBM als VMX und definiert das Gleitkomma- und Integer-SIMD Instruction-Set. Es ist in der Power ISA 2.03 integriert.

POWER6 beherrscht 4 Threads

Jeder Core des POWER6 beherrscht wie bereits beim POWER5 Multithreading. Das 2-Wege-SMT-Verfahren wurde von IBM allerdings verfeinert. Beispielsweise soll der zweite Thread eines Kernes für 55 Prozent mehr Performance bei OLTP-Anwendungen sorgen. Integer-Berechnungen beschleunigt das SMT des POWER6 um 40 Prozent. Durch seine Dual-Core-Technologie in Verbindung mit SMT kann der POWER6 vier Threads parallel abarbeiten.

Für eine hohe Betriebssicherheit bei POWER6-Systemen soll die neue Recovery-Unit des Prozessors sorgen. Mit diesem RAS-Feature lässt sich beispielsweise der Workload eines POWER6 bei Hardwaredefekten auf einen anderen Prozessor schieben, sollte ein Restart der CPU nichts nützen.

Mehr Cache und höhere Bandbreiten

Bei den Caches weist der POWER6 ebenfalls Änderungen auf. So dimensioniert IBM den L1-Cache nun mit jeweils 64 KByte für Daten und Befehle – pro Core. Damit besitzt der POWER6 die doppelte Daten-Cache-Größe gegenüber den POWER5-Modellen. Kräftig aufgestockt wurde der L2-Cache: Statt 2 MByte stehen dem POWER6 nun 8 MByte zur Verfügung. Jedem Core weist IBM dabei einen 4 MByte großen „Private L2-Cache“ zu.

Den 32 MByte fassenden L3-Cache legt IBM wieder extern aus. Dabei lässt sich die dritte Pufferstufe wahlweise auf dem POWER6-Modul oder dem System integrieren. Alternativ kann der L3-Cache komplett weggelassen werden. Den POWER5-Prozessoren spendierte IBM noch eine L3-Cache-Größe von 36 MByte, den 90-nm-Modellen POWER5+ sogar bis zu 72 MByte. Die Bandbreite zum L3-Cache beträgt beim POWER6 80 GByte/s. Zum Speicher erlaubt der POWER6 mit seinen zwei integrierten DDR2-Controllern maximale Transferraten von 75 GByte/s. Jeder Kern kann über einen eigenen Controller unabhängig Speicherzugriffe durchführen.

Für den SMP-Betrieb lassen sich vier POWER6 zu einem Knoten zusammenschalten, und der Datenaustausch über die drei Intra-Node-SMP-Busse erfolgt mit 80 GByte/s. Über die zwei Inter-Node-SMP-Busse erfolgt die Kommunikation zu den anderen Knoten mit bis zu 50 GByte/s. Darüber hinaus gibt es noch einen gemultiplexten Daten- und Adress-SMP-Bus mit 20 GByte/s. Insgesamt beträgt damit die Bandbreite des POWER6 305 GByte/s.

Virtualisierung mit Live Partition Mobility

Neuigkeiten vermeldet IBM beim POWER6 auch bei den Virtualisierungs-Features mit der Bezeichnung ViVA-2. Der POWER6 erlaubt jetzt bis zu 1024 Micro-Partitionen. Die Virtual Page Key Protection schützt dabei Datenbereiche vor unautorisierten Zugriffen. Auch der Speicher lässt sich bei POWER6-Systemen in virtuelle Partitionen aufteilen.

Mit dem POWER6 stellt IBM zudem die Virtualisierungsfunktion „Live Partition Mobility“ vor. Das noch im Beta-Stadium arbeitende Feature erlaubt laut IBM erstmals bei UNIX-Servern das Verschieben von aktiven virtuellen Maschinen zwischen physikalischen Servern. Die virtuellen Maschinen – IBM spricht von Partitionen – arbeiten dabei ohne Unterbrechung oder Reboot weiter.

Ein weiteres neues Virtualisierungs-Feature, das IBM zusammen mit dem POWER6 ankündigt, nennt sich „Live Application Mobility“. Anwendungen lassen sich damit im Betrieb von einem Betriebssystem auf ein anderes „umziehen“. Sowohl Live Partition Mobility als auch Live Application Mobility soll bis Ende 2007 in IBM-Servern angeboten werden.

Beide Funktionen unterstützt IBM in seiner nächsten UNIX-Version AIX 6. Das Betriebssystem soll ebenfalls Ende 2007 vorgestellt werden. Unter anderem bietet AIX 6 dann nativen Support für Linux-x86-Applikationen.

Ausführliche Details über die bisherigen Virtualisierungsfunktionen bei POWER5-Servern finden Sie bei tecCHANNEL im Artikel Virtualisierung mit IBMs POWER5-Server.

PowerExecutive reguliert den Energiehaushalt

IBM verknüpft seine POWER6-CPU auch erstmals mit einem externen Embedded-Controller. Dieser überwacht und reguliert die Spannungs- und Performance-Parameter des Prozessors abhängig vom eingestellten Powermanagement-Schema. IBM bezeichnet das neue Powermanagement-Verfahren des POWER6 als PowerExecutive. Damit lässt sich neben dynamischen Parametern beispielsweise auch über Nacht statisch der Energiebedarf der Prozessoren senken.

Im POWER6-Chip versorgen vier unabhängige Spannungen die Logikblöcke mit angepassten Levels. Dadurch lässt sich der Chip effizienter und somit sparsamer mit Energie versorgen. Durch sein Multi-Voltage-Design lässt sich der gleiche POWER6 sowohl in Low-Power-Blades als auch in High-Performance-Servern einsetzen.

Zum umfangreichen Powermanagement des POWER6 zählen unter anderem abschaltbare Logikblöcke, die während der Befehlsabarbeitung nicht benötigt werden. Des weiteren kann der Speicher-Controller des POWER6 inaktive Speicherbereiche im Hauptspeicher „abschalten“, um im System Energie zu sparen. Im Prozessor überwachen zudem 24 digitale Temperatursensoren die Kerne, den Cache sowie den Speicher-Controller um bei Bedarf die Taktfrequenz und Spannung anzupassen.

Der im 65-nm-Verfahren gefertigte POWER6 besitzt eine Die-Fläche von 341 mm². Darauf breiten sich insgesamt 790 Millionen Transistoren aus. Das Gros entfällt auf den 8 MByte fassenden L2-Cache.

Benchmark-Rekorde inklusive

“Der schnellste jemals gebaute Mikroprozessor”, wie IBM den POWER6 bezeichnet, wartet in einem p 570 Server mit vier Rekorden in wichtigen Benchmark-Disziplinen auf.

Bei der Benchmark-Suite SPEC CPU2006 erreicht ein POWER6 mit 4,7 GHz Taktfrequenz im Single-Thread-Test SPECint2006 eine Integer-Performance 21,6 Punkte. IBMs POWER5+ mit 2,2 GHz schafft in einem Bull-SAS-Server 13,2 Punkte im Peak-Rating. Der Konkurrent Itanium 2 9050 mit 1,6 GHz Taktfrequenz und 24 MByte L2-Cache erreicht maximal 15,7 Punkte. Und Fujitsus SPARC64 VI 2,4 GHz begnügt sich mit 11,3 Punkten. Intels Desktop-Prozessor Core 2 Extreme X6800 mit 2,93 GHz Taktfrequenz kommt mit 18,5 Punkten dem POWER6 noch am nächsten.

Den für die Fließkomma-Performance zuständigen Single-Thread-Benchmark SPECfp2006 führt der 4,7-GHz-POWER6 mit 22,3 Punkten ebenfalls an. Hier erreicht der Vorgänger POWER5+ in der 2,2-GHz-Variante 14,9 Punkte. Der Itanium 2 9050 liefert in einer HP Integrity rx6600 immerhin 18,1 Punkte. Der SPARC64 VI 2,4 GHz liegt im Fujitsu SPARC Enterprise M8000 mit 12,4 Punkten wieder abgeschlagen zurück. Mit 17,7 Punkten bietet Intels Xeon 5160 bei den x86-CPUs die höchste Floating-Point-Performance mit CPU2006.

Beim SPECint_rate2006 – hier wird der Integer-Durchsatz aller Kerne gemessen – liefert ein POWER6 4,7 GHz 60,9 Punkte. Damit erreicht IBMs Dual-Core-Prozessor zirka die doppelte Ganzzahlen-Performance eines Intel Core 2 Extreme X6800 (2,93 GHz, Dual-Core) mit 31,1 Punkten. Auch beim Fließkommadurchsatz SPECfp_rate2006 ermöglicht die POWER6-Dual-Core-CPU mit 58,0 Punkten mehr als die doppelte Performance der Intel-CPU (26,8 Punkte). Ein POWER5+ mit 2,1 GHz Taktfrequenz und Dual-Core-Technologie schafft 32,0 SPECfp_rate2006-Punkte.

Flink mit Datenbanken und Java

Beim Datenbank-Benchmark TPC-C liefert IBMs Server p570 mit acht 4,7-GHz-POWER6 mit DB2 9 unter AIX 5L V5.3 einen Wert von 1.616.162 tpmC. Damit ist der POWER6-Server das leistungsfähigste 16-Core-System. Als Vergleich führt IBM den HP Superdome an, der mit 64 1,6-GHz-Itanium-2-CPUs (128 Kerne) bei TPC-C 4.092.799 tpmC erreicht. Demnach bietet der HP-Server mit achtmal so vielen CPUs nur die 2,5-fache Performance.

Als vierten „Rekord-Benchmark“ für den POWER6 führt IBM die Java-Performance (SPECjbb2005) mit einem 16-Core-System auf. Der p570-Server mit acht 4,7-GHz-Prozessoren (16 Kerne, 32 Threads) liefert 691.975 bops bei einer Leistungsaufnahme von 5,6 Kilowatt. Als Vergleich führt IBM den Sun Fire V890 auf. Das System mit acht UltraSPARC IV+ 1,5 GHz (16 Kerne) erreicht 117.986 bops bei 4,8 Kilowatt. Dem POWER6-System am nächsten kommt ein 8-Wege-System von Fujitsu Siemens: Der PRIMERGY RX800 S3 mit acht Xeon 7150N schafft eine Java-Performance von 336.653 bops.

IBM-Server mit POWER6

IBM plant den Einsatz der POWER6-Prozessoren in allen System p und System i Serverlinien. Ab Juni 2007 bietet der Hersteller das System p570 in einer 4U-Box mit vier POWER6 an. Das p570-System lässt sich dann mit bis zu drei weiteren Boxen nach Bedarf erweitern. Im maximalen Ausbau arbeitet der p570-Server somit mit 16 POWER6-Prozessoren. An eine p570-Box mit vier POWER6 lässt sich eine weitere Box im Betrieb anschließen. Das AIX-Betriebssystem erkennt die zusätzlichen Ressourcen automatisch, ein Reboot ist für die Erweiterung des p570-Servers nicht notwendig.

Die CPU bietet IBM im p570 wahlweise mit 3,5, 4,2 und 4,7 GHz Taktfrequenz an. Laut IBM liegen die neuen POWER6-basierenden p570-Server auf dem Preisniveau der Systeme mit POWER5+. Der Einstiegspreis für einen p570 beginnt bei zirka 60.000 Euro und endet je nach Speicherausbau bei zirka 400.000 Euro. IBMs p570 erlaubt mit vier 4U-Boxen maximal 768 GByte Arbeitsspeicher.

Als typische Anwendungsgebiete für POWER6-Systeme nennt IBM Enterprise-Datenbanken wie Oracle, SAP, Transaktionen, Finanzanalysen und High-Performance Computing wie Flugzeugdesign und Crash-Simulationen von Automobilen. Als Konkurrenz für den POWER6 gelten Intels Itanium-2-9000-Prozessoren sowie die Highend-SPARC-Prozessoren von Sun und Fujitsu.

Ausblick

IBMs POWER6 mit bis zu 4,7 GHz Taktfrequenz treibt die Benchmark-Werte wieder in die Höhe. Erfreulicherweise benötigt der POWER6 trotz der immensen Taktfrequenz nicht mehr Energie als sein Vorgänger. Wer auf die doppelte Performance der sechsten Generation verzichten kann, lässt den POWER6 auf dem Leistungsniveau des POWER5+ arbeiten – bei dann halbem Energiebedarf.

IBM sollte mit dem POWER6 als Chipdesigner weiterhin vorne im Prozessormarkt mitmischen können. Big Blue konnte in den letzten fünf Jahren beim Umsatz im UNIX-Segment – hier agieren die POWER-Server – laut IDC und Server Tracker bereits um 10,4 Prozent zulegen. Die Konkurrenten Hewlett Packard (Itanium) und Sun (SPARC) mussten dagegen Verluste hinnehmen. Insgesamt entwickle sich der UNIX-Markt stabil.

Neben der Performance zählen im UNIX-Segment vor allem ein unterbrechungsfreier und zuverlässiger Betrieb der Server. Und gerade beim Thema Virtualisierung blickt IBM auf eine langjährige Erfahrung zurück. Die POWER6-Server sollten mit ihren neuen Features hier weitere Pluspunkte sammeln können. (cvi)